Skip to main content

Extreme UV lithography creates smaller, faster and more powerful chips

Integrated circuit chip

Scaling transistors down below the current ~10nm range requires advanced lithography processes (mpohodzhay/Shutterstock.com)

To make electronic devices faster and more efficient, the microelectronics industry continues to push for smaller and smaller feature sizes on its chips. Transistors in today’s cell phones are typically 10nm across or less, smaller than a virus cell. Scaling transistors down below these dimensions requires advanced processes for lithography, the primary technique for printing electrical circuit elements on silicon wafers.

How ASML works with the optics community

Advanced lithography systems are at the forefront of electronics advancement and are a technological wonder. A single machine contains tens of thousands of components, kilometres of cables, and can cost more than $100 million. Optics and photonics components are vital in these machines, and the industry plays a vital role within semiconductor manufacturing. We asked an ASML spokesperson about the firm’s relationship with the sector. 

How do optical technologies help you to serve your customers’ needs?

Our customers are the world’s leading chipmakers. We provide these chipmakers with hardware, software and services to mass produce patterns on silicon through lithography. These organisations seek to create smaller, faster and more powerful chips, and their roadmaps require continued shrink and reduction in edge placement error to drive affordable scaling.

Our lithography systems use ultraviolet light to create billions of tiny structures on thin slices of silicon. These structures make up an integrated circuit, or chip. The more structures that chipmakers can cram on a chip, the faster and more powerful it is. That’s why our systems focus on making such structures smaller and smaller. With extreme ultraviolet (EUV) lithography, we do that by harnessing light of a much shorter wavelength (13.5nm light) than with deep ultraviolet (DUV) lithography machines (193nm light). With EUV light, our systems can offer higher resolution lithography, which allows our customers to create smaller, faster and more powerful chips. EUV lithography is now being used by the world’s largest logic and memory chipmakers.

In parallel with adoption of those systems, we are developing a next-generation EUV platform with a higher numerical aperture of 0.55 (‘High-NA’ or ‘EUV 0.55 NA’). This platform, called EXE, is an evolutionary step on EUV technology and includes a novel optics design, which has been developed to provide higher contrast and higher productivity.

The optical module of our first EUV 0.55NA system, EXE:5000, is eight times the volume and six times the weight of the optical module in our TwinScan NXE:3400C system (which supports EUV volume production at the 7nm and 5nm nodes; weighs 12 tons and has 44,000 parts; and is polished to extreme accuracy (20 picometer). If you were to blow up these mirrors to the size of planet Earth, the biggest aberration would be the size of a human hair.

 

How is your R&D set up and how do you work with external organisations?

We spent €3.3bn on R&D in 2022, which represents 63% growth from the 2019 investment level. However, our innovation does not work in isolation; it is part of a close collaboration with our more than 14,000 R&D employees and key partners in the value chain. We see ourselves as architects and integrators, working with partners in an innovation ecosystem. By sharing our expertise with the ecosystem, we build a strong knowledge network capable of creating technological solutions that society can tap into. We share both risk and reward, and this collaborative approach allows us to accelerate innovation. 

We focus on collaboration with research centres, fueling the innovation pipeline through partnerships with academia and research institutes, and collaboration with R&D partners through EU public–private partnerships. We also believe that we can create greater impact in the ecosystem by nurturing future young tech through support for start-ups and scale-ups. We cooperate with private partners in research and innovation projects subsidised by the European Union and its member states. We run collaborative subsidy projects aimed at advancing integrated circuit (IC) technology for the next node connected to the industry roadmap following Moore’s Law. 
 We co-develop expertise within a wide network of technology partners, such as universities and research institutions. Our partners include Imec in Belgium, the technical universities in Twente, Delft and Eindhoven in the Netherlands and the Advanced Research Center for Nanolithography (ARCNL), also in the Netherlands. ARCNL conducts fundamental research and focusing on the physics and chemistry that are important in current and future key technologies within nanolithography and its application within the semiconductor industry.

Our own contribution in R&D across public-private partnerships in 2022 was €14.7 million, and the total value of our investment for the full three-year duration of our projects is €88.9 million, with a total project size of €438.9 million. Across all of our projects, we work with universities, research and technology institutes and other high-tech companies across EMEA – varying from 20 to 80 partners from 12 different European countries – to help enable the industry to move toward next-generation technology.

  
How do you choose which suppliers to work with? 

Our lithography systems are made up of thousands of parts, and most of them are made by our suppliers. Relying on outside suppliers was a necessity in our early years, and since then our ecosystem of around 5,000 partners and suppliers has turned into one of our company’s most prized strengths. In this ecosystem of innovation, long-term collaboration is based on trust. We rely heavily on our supplier network to achieve the innovations we strive for.

Within our total supplier base, we distinguish between product-related and non-product-related suppliers. Product-related suppliers provide materials, equipment, parts and tools used directly to produce our systems. This category comprises approximately 800 suppliers and represents the highest percentage (69%) of our procurement volume. We define around 250 of these suppliers as ‘critical suppliers’, accounting for roughly 92% of the product-related spend. Critical suppliers supply a unique part and/or are single sourced, those that have switching time to an alternative supplier of over 12 weeks or suppliers who supply parts with long production times. Non-product-related suppliers are goods and services suppliers, providing the products and services t­­hat support our operations, from temporary labour to logistics, and from cafeteria services to IT services. With around 4,200 suppliers, this group represents 84% of our total supplier base.

ASML’s supply chain strategy is centred on long-term relationships and close cooperation with suppliers and partners. Our goal is to ensure we have the products, materials and services we need to meet our short- and long-term needs, to support our operations from the earliest moment of development to the end-of-life stages of our systems. To make sure this runs smoothly, we involve our suppliers at the earliest possible stage in the product generation process. This also enables us to increase product performance and ensure manufacturability and serviceability. Operating in a niche market characterised by producing high-value products in small quantities, fast development cycles and business volatility requires several key performance requirements for the supply base.

We require our suppliers to: 1) Secure materials from their suppliers to enable the output ramp-up for customers; 2) Enable our product roadmap through the development and maintenance of best-in-class competencies and capabilities to secure the most advanced technology and fast time-to-market; 3) Drive cost reductions, quality and capability improvements through efficient and dedicated operations; 4) Build a sufficiently broad customer base and scale to share and spread the risks of volatile market cycles and to increase flexibility and cost competitiveness; and 5) make active contributions to our sustainability strategy.

 Continuously improving our suppliers’ capabilities and performance is at the heart of our sourcing and supply chain strategy. To drive a sustainable and resilient supply chain, we place high importance on supplier performance management, supply chain risk management and playing a full part in a responsible supply chain. We have adopted the Responsible Business Alliance (RBA) Code of Conduct, which sets out ethical, social and environmental standards. We expect our key suppliers and their suppliers to acknowledge and comply with its requirements.

 
How common is it to build a relationship with a completely new supplier?

We prefer to grow our current strategic partners, so we are adding only a few new product-related suppliers per year to our supplier landscape. But in cases where we do not have a specific competence within our current landscape or we might need a big jump in capacity, we look to add new suppliers. What makes a company stand out is having a high-level expertise in a particular field and, even better, when they have proper systems and management in place to excel in performance and customer service. 

 
 

Media Partners