Skip to main content

The future of EUV lithography

The commercial deployment of Extreme Ultraviolet (EUV) lithography systems has been hit by years of delays and has met scepticism along the way. But the technical need for EUV lithography has continued to push for the development of production-ready machines – could we be on the brink of a breakthrough?

The answer, perhaps unsurprisingly, seems to be a resounding ‘yes’ from the companies developing the machines to make EUV lithography a mainstream technology. But such optimism is not misplaced, as there have been a number of interesting developments in the EUV lithography arena in terms of the optical processes and technologies being used.

The primary application area for EUV lithography is within the semiconductor industry, where Moore’s Law – an observation that the number of transistors in an integrated circuit of a given size, and at an acceptable cost, doubles roughly every two years –  drives manufacturers to cram as many transistors as possible onto increasingly tiny chips. This means the transistors must shrink in size too; so, semiconductor lithography machines must be able to print finer features with every new generation of chips.

The functional elements of a microchip are transferred from a mask to a silicon wafer by means of complex optical systems. Winfried Kaiser, senior vice president of product strategy for the semiconductor manufacturing technology business group of Zeiss, said: ‘The uniformity and flexibility of the illumination system and the resolving power of the projection optics play the crucial part in determining how small the structures on a microchip can be.’

Shortening the wavelength of the light means higher resolution and smaller features – so, EUV lithography is, theoretically, perfectly positioned to meet these demands. Kaiser added: ‘EUV radiation has an approximately 15 times shorter wavelength than the UV light sources currently used in optical lithography.’

Producing an operational EUV lithography system is easier said than done. The real-world technological challenges that need to be overcome for EUV lithography to become a reality are enormous. ‘The biggest challenge is the fact that EUV light, with a wavelength of 13.5nm, is absorbed by all known materials, even by air itself. This makes it necessary to develop a completely new concept for lithography optics,’ Kaiser explained. ‘Zeiss utilises all of its competence to develop the necessary technological expertise and skills to enable this cutting-edge technology.’

EUV photons are also difficult to produce. Many EUV systems use a laser-produced plasma (LPP) source, a high-energy laser that is fired onto a microscopic droplet of molten liquid, usually tin, around 40,000 times a second. This droplet turns it into plasma, emitting EUV light, which is then focused into a beam.

The glass of a lens would absorb the EUV photons immediately, so the machine has to use mirrors instead. Herein lies another challenge, as the mirrors must be polished with extreme precision. To put this into perspective, if one of the mirrors were to be blown up to the size of Germany, the biggest bump would need to be less than one tenth of a millimetre high.

These mirrors are coated with around one hundred thin layers to promote their reflectivity; some layers are as thin as 3nm. Additionally, as air absorbs EUV light, the whole exposure system, including the wafer, must be integrated into a large vacuum chamber. But the nature of EUV lithography compromises this vacuum, as every time a wafer is exposed, the photoresist releases trillions of particles.

Current state of the industry

 

The past few years have seen a renewed vigour within the EUV lithography market. In 2012, Zeiss shipped its second generation of EUV optics, with a resolution of 18nm at the time, to be integrated into wafer scanners at the facilities of lithography systems manufacturer ASML.

In April 2015, ASML announced that a major chip maker had placed an order for 15 of its production-worthy EUV lithography tools. There are currently eight ASML EUV systems in use in the R&D facilities of its customers, and those customers are now using these machines for process development.

ASML customers have also run manufacturing readiness tests on their systems looking to confirm availability, productivity, and other lithography parameters (such as overlay, focus and CD performance). In one such test carried out recently, a customer exposed more than 15,000 wafers on its NXE:3300B EUV system in the space of four weeks, according to ASML. As productivity is one of the major barriers for moving EUV lithography out of the R&D labs and into mainstream production, this is quite a game changer.

The Taiwan Semiconductor Manufacturing Company (TSMC) has also managed to expose more than 1,000 wafers in a 24-hour period using an ASML NXE:3300B EUV system, with a sustained power of more than 90W. This achievement is important, as a sustained average source power of 100W for the EUV laser is considered critical for any commercial production.

In ASML’s third-quarter earnings call last month, the company said it expected, in 2016, to ship six to seven EUV tools, which will also be used for process development and device integration work.

Production timescales for EUV lithography now seem to be a question of when, not if. ASML predicts EUV will go into production in 2018/19, and aims to ship its first units in 2017 to meet this target.

Challenges ahead

 

There are still challenges ahead for EUV lithography to reach the Holy Grail of production-ready facilities. 

As mentioned, one such challenge is boosting the system’s source power to beyond the 100W range. There are three methods to achieve this: boosting the CO2 laser’s power; increasing conversion efficiency by optimising the size of the target and increasing peak CO2 power; and reducing dose overhead by using better software controls to increase the available EUV energy for exposure.

Trumpf is busy developing the CO2 laser technology for the EUV source. Dr Michael von Borstel, president and CEO of Trumpf Laser Systems for Semiconductors, said: ‘We are encouraged by positive results published by ASML, in particular on the 2015 EUVL in Maastricht and thereafter. Speaking about the EUV source, dose-controlled EUV power above 180W as demonstrated at ASML is an important breakthrough.’

Trumpf announced plans recently for a facility in Ditzingen, Germany, to host production of the giant lasers needed for EUV lithography. The facility represents an investment of €70 million, and production should begin in 2017. Von Borstel said: ‘It will provide the capacity to support the EUV ramp that we anticipate on that time scale. Construction challenges are the energy, cooling and clean room facilities in the building, which outnumber the entire infrastructure currently available at our Ditzingen site.’

If EUV lithography systems were to go into full-scale production, there would be wider implications for the laser manufacturing world, according to Hans Meiling, vice president of EUV service and product marketing at ASML: ‘We use a high-powered CO2 laser in the EUV source, so every EUV system that we ship will need one. Generally speaking (not specific to lasers), volume production also brings additional requirements compared with R&D environments. In manufacturing, our customers run our systems 24/7, and downtime, especially unscheduled downtime, impacts their production plans and can even mean they lose business.’  

Meiling added: ‘There is thus a strong focus on high uptime of the entire system, fast spare parts availability, and a well-trained customer support organisation, to name just a few.’

The design and operation of an EUV lithography system are complex and have wider implications within the photonics industry. Fundamentally, the EUV source is a powerful and pulsed infrared laser system, which ignites EUV-emitting plasma into tin droplets 50,000 times per second.

‘Because no single laser could provide sufficient power, we have a series of five laser amplifiers which are operated in series,’ Von Borstel remarked. ‘The beam exiting from each of these amplifiers is fed into the next for further amplification. Beam transport and focusing optics with more than 30 optical elements ensure a well-controlled focus of the infrared laser light on the tin droplets.’

This focus on improving the systems and source powers will continue, as von Borstel added: ‘In the short term, further improving system availability has highest priority. In the mid term, source power will need to be scaled up further, in order to facilitate higher productivity for our customers. Trumpf is already working on how to scale up CO2 laser power to generate EUV power beyond 250W.’

Challenges may remain to move EUV lithography into mainstream production, but the mood is optimistic among those companies developing the optics and systems. ASML’s next generation EUV tool, the NXE:3350B, is poised and ready for shipment to a customer site and the company has demonstrated 130W of dose-controlled source power.

Time will tell whether this optimism is matched with a production-ready EUV lithography system. If EUV lithography does move into the mainstream, then the impact on the wider laser manufacturing community will be considerable.



Topics

Read more about:

Lithography

Editor's picks

Media Partners