Skip to main content

Mirror on semicon

To maintain Gordon Moore’s law – the assumption that the number of transistors that can be packed onto an integrated circuit will double every two years – lithography has to undergo a paradigm shift, away from optical laser light to dramatically shorter wavelengths in the extreme ultraviolet (EUV) portion of the spectrum. EUV lithography could reduce the amount of multiple patterning steps needed in today’s microprocessors, which would reduce both the cost and complexity of laying the circuit on the chip. However, while EUVL has been around since the early 1990s, it is still the realm of lab research. Introducing it in full-scale production has been painfully slow – at the moment, only six of ASML’s first production level machine, NXE:3300, have been sold.

One of the companies supporting the development of EUV lithography is silicon chip giant Intel. ‘If EUV can scale to high volume, it has the potential of improving manufacture productivity and thereby lower costs and improve functionality,’ said Intel spokesman Chuck Mulloy.

One of the main hurdles to deploying EUV lithography on an industrial scale is the source power, which at the moment isn’t high enough. The optical design of EUV systems is also markedly different to traditional lithography machines. EUV optical systems consist of mirrors and not lenses as in conventional lithography optics, because 13.5nm radiation is absorbed by all known lens materials – even air. So EUVL operates in a vacuum and requires a whole new overall concept of lithography optics, according to Zeiss, a renowned German company that fabricates EUVL optics.

Shrinking transistors

Microprocessors are made using lithography, to shape and layer the material that makes a microchip. The wavelength of the laser light used for the process is the obvious limitation to how small the chip’s components can get.

Over the years, lithography has evolved from ultraviolet light with wavelengths of 436nm (‘g-line’), 405nm (‘h-line’) and 365nm (‘i-line’) to deep ultraviolet light of 248nm, and, not that long ago, 193nm, improving the resolution at every step. The past decade saw transistors shrink from 100nm to 22nm, while the wavelength stayed constant at 193nm.

It is this ability to pattern smaller features on silicon chips that has kept Moore’s law valid, a law that ‘the entire economic model of the semiconductor industry relies on’, commented physicist Shannon Hill of the Ultraviolet Radiation Group at the National Institute of Standards and Technology (NIST), a measurement standards laboratory in Maryland, USA.

‘Optical 193nm immersion lithography is gradually running out of steam and requires multiple patterning steps to further improve the resolution,’ said Kurt Ronse of Imec, a research centre headquartered in Leuven, Belgium. ‘Multiple patterning is an extremely complex and expensive exercise, which significantly degrades the cycle time of the process.’

EUV lithography, also known as soft X-ray lithography, uses 13.5nm radiation. At this wavelength, the light source can be used to pattern much finer features than traditional 193nm optical lithography. ‘Shortening the wavelength of the light means improved resolution and smaller features,’ said Niclas Mika of ASML, a lithography machine manufacturer headquartered in Veldhoven, Netherlands. ‘EUV is the next step. It’s like using a smaller brush to paint finer details.’ EUVL technology will be able to produce features on electronic circuits at just 10nm in size.

With the wavelength getting shorter, numerical aperture (NA) has been getting higher. But higher NA and shorter wavelengths make lithography tools more difficult to make, as smaller chips mean higher precision. In particular, the depth of focus reduces rapidly. So, with the jump by a factor of 14, from 193nm to 13.5nm, it was also generally decided to reduce the NA in order to improve the resolution and depth of focus. This made ‘chips easier to manufacture, at least from the focus point of view’, said Ian Wallhead of Hyperion Development, a small EUV optics manufacturer headquartered in San Francisco.

The maximum available NA today is 0.33, but Ronse is certain it can, in the future, be increased to 0.45 and even 0.6 with different optical designs. ‘The wavelength is 15 times smaller than 193nm. The ratio of wavelength to NA expresses the resolution limit in optical as well as EUV lithography: 13.5nm to 0.33 is much smaller than 193nm to 1.35,’ he said.

From lab to industry

But how long will it take before commercial EUV systems become operational? Ronse believes that it will be another two to three years before EUVL can take over the industry. At ASML, the researchers are a bit more optimistic; EUVL might be ready for commercial use by 2015, they say.

To be successful on an industrial scale, however, a number of critical focus points have to be addressed, said Ronse. And the main stumbling block on the way to mass production is currently source power – it must increase at least by a factor of 10. EUV needs a different light source than today’s deep UV lithography systems, which depend on excimer lasers. The EUV radiation is produced from a microscopic droplet of molten tin, hit with a very high-power CO2 laser and turned into plasma.

If the light is not bright enough, each silicon wafer has to be exposed for longer, which increases the time it takes to manufacture a chip. At the moment, the source power is around 10W, but ‘what we need is at least 100W in the short and mid-term and more than 250W in long term’, said Torsten Feigl of OptixFab, a Fraunhofer IOF spin-off company founded in 2012 to commercialise Fraunhofer IOF’s EUV optics research and development activities.

To operate at 13.5nm, machines also require sophisticated nano-size optics, which have to be positioned to tolerances measured in microns and be precise to sub-nanometres to avoid mid-spatial frequency (MSF) and high spatial frequency (HSF) errors. HSF roughness leads to lower reflectivity and conventional field aberrations. MSF errors, meanwhile, can result in flare – unwanted scatter around the area of photo-resist exposure – during the projection.

To make the surfaces of its mirrors flawless, optics manufacturers use special sub-aperture polishing and coating techniques, such as computer controlled optical polishing (CCOS), magneto-rheological finishing (MRF) and ion beam finishing (IBF). Testing is usually performed at visible wavelengths of 633nm, and so has to be done to better than one thousandth of a wavelength. Roughness measurements are done with an atomic force microscope. 

‘We have built multiple custom interferometers with 20 to 50pm repeatability errors to measure the figure of these optics,’ said Viswa Velur of Zygo Corporation, Middlefield, Connecticut, one of the leading manufacturers of EUVL optics. Also, according to Zeiss, the highest irregularity on a mirror used for EUV lithography is in the single nanometre range.

Reflecting badly

One of the main challenges in optics production is to design with 10 or 12 off-axis parabolas and ellipsoids, instead of simpler symmetric optics. ‘A curious feature of EUV is that reflecting light 90 degrees – off a 45-degree mirror – as is common at visible wavelengths, is bad,’ said Wallhead. ‘The reflection is highly polarising and inefficient, and this causes everything to be at odd angles. Also, a variation in angle of incidence on a mirror is bad.’ This is usually unavoidable, he said, so the coating needs to be graded to vary its thickness across the surface, which further complicates the manufacturing process.

Another challenge is the requirement to coat these optics to make them highly reflective at EUV while also preserving the wavefront quality. The coating comprises 30 to 40 bi-layers alternating between molybdenum and silicon, with each layer being only a few nanometres thick. The full stack needs to be perfect to achieve ultra-smooth interfaces with the highest possible reflectivity, which in theory is about 70 per cent for a perfect system.

But currently, ‘for each “bounce” of the light in the lens there is only at best 60 per cent to 65 per cent of the light reflected’, said Kevin Cummings of Sematech, a consortium that performs research and development to advance chip manufacturing. ‘That means for a lens necessary to reproduce sub-20nm nodes – typically six reflections – the amount of light making it to the resist is quite small.’

Patrick Naulleau, director and senior staff scientist at the Centre for X-ray Optics at Lawrence Berkeley National Laboratory, said, what is even harder than achieving the reflectivity, is that ‘these 80 layers must be deposited across the entire optic which can have diameters of many hundreds of millimetres and maintain uniformity to the l/50 level or 0.05nm – once you account for reflectivity and the fact that one optical system is comprised of four mirrors. Coating technology represents a huge accomplishment.’

A big challenge for EUV optics is scattering. ‘[It] goes as [much as] l-4, which made it a very large concern for EUV compared to deep UV optics, because of the 15 times reduction in wavelength,’ said Naulleau. ‘For scattering to stay below an acceptable level, the mirror roughness needs to be on the order of one angstrom, and this also has now been achieved by EUV optics suppliers.’

From the more conventional optics perspective, one big question surrounding the EUVL optics is how to deal with high numerical aperture EUV, in particular the angular bandwidth limitations in the EUV mask Bragg reflector. These reflectors – formed from several layers of alternating materials with changing refractive index – are used in waveguides, for example in optical fibres.

Lifetime is also a challenge. As light sources are plasma-based and not lasers, they produce particle debris that can damage collector mirrors and lead to their fast degradation.

‘Virtually any trace of hydrocarbon molecules can cause damage to the mirrors as the high energy photons crack the molecules and deposit carbon on the mirrors,’ said Wallhead.

Another problem is masks that currently have too many defects, at a factor of 10 to 100. Since the resolution is so good with EUV, any defect on the mask is reproduced on the wafer, and therefore the EUV mask needs to be virtually defect-free. Also, photoresists need improvements to obtain resolution, sensitivity and especially reduce line edge roughness.

EUVL taking off

So what are the remaining challenges for EUVL optics? ‘In the short term, where we are concerned about optics with numerical apertures below about 0.38, there are no huge challenges,’ said Naulleau.

‘As we go beyond that, however, we become concerned about optical system design issues to make sure we limit the number of mirrors in order to optimise throughput, and that we control the angular range of the coatings.’

It is in principle possible to develop broad angle coatings, he added, but it comes at the cost of reflectivity. ‘Because source power is by far the biggest challenge facing EUV, we cannot afford to do anything to the optics that unnecessarily compromises throughput.’

Even when EUV lithography does finally take off, it is unlikely to replace all optical lithography straightaway, due to the complexity and initial high cost of the process. ‘EUVL is expected to be introduced in only a limited number of critical layers, replacing the most expensive 193nm multiple patterning processes,’ said Ronse of Imec.

Even though the process is slow, one thing is certain – there are no plans to stop at what has so far been achieved. After all, the aim is to keep up with Moore’s law, and push electronic devices to the ultimate frontier of size and power. While ASML’s high-volume tool NXE:3300 uses a six-mirror (NA=0.33) projection system, Zeiss and ASML have already discussed eight-mirror systems to increase the NA up to 0.7 at 13.5nm.

‘The second generation of EUV will add the tricks that we have learned in optical lithography to extend well into the single digit nanometre node for IC manufacturing,’ said Cummings.

‘Beyond that, there will be a tough decision to make as reflection lenses have considerable limits compared to refractive optics. Today, the best bet is for a change in magnification in the lenses to continue EUV evolution.’

There are already talks of jumping to a wavelength of 7nm, all while increasing numerical aperture to 0.6. And who knows – maybe beyond.



Topics

Read more about:

Lithography

Editor's picks

Media Partners